Оценка энергопотребле-ния логических схем с использованием их VHDL моделей

Автор работы: Пользователь скрыл имя, 16 Марта 2016 в 13:39, курсовая работа

Описание работы

Одной из важнейших проблем при проектировании цифровых устройств является снижение их энергопотребления, а одним из основных критериев оптимизации при проектировании цифровых устройств – величина потребляемой энергии. Проблема снижения энергопотребления интегральных микросхем, на которых реализуется цифровое устройство, решается практически на всех этапах проектирования: от алгоритмического до топологического. Для снижения потребляемой мощности выбирают соответствующую синхронизацию, применяют асинхронные схемы, создают специальные библиотеки логических элементов, предлагают новые конструктивные и схемотехнические решения и т.д. При выборе проектных решений по схемной реализации цифровых блоков заказных СБИС существует необходимость оценки их энергопотребления на различных этапах проектирования.

Содержание работы

Введение…………………………………………………………………………….3

1. Структура предприятия…………….……………………………………………4

2. Изучение основных разновидностей конструкторской и инженерно-технической документаций……………….……………………………………….5

3. Разработать VHDL модель логических элементов…………….……………...7

4. Разработать алгоритм и программу преобразования структурных VHDL описаний схем………………………………………..…………….…………… ...11

5. Подсчет числа переключений с нулевыми и единичными задержками элементов схемы. ……………………………………………………………… …13
6. Оценка энергопотребления на основе логического моделирования с нулевыми и единичными задержками логических элементов…………………15
Заключение………………………………………………………………………....16

Приложения………………………………………………………………………..17
Список использованных источников…………………………………………….18

Файлы: 1 файл

ПД_отчет по практике.doc

— 210.00 Кб (Скачать файл)

Введение…………………………………………………………………………….3

 

1. Структура предприятия…………….……………………………………………4

 

2. Изучение основных разновидностей конструкторской и инженерно-технической документаций……………….……………………………………….5

 

3. Разработать VHDL модель логических элементов…………….……………...7

 

4. Разработать алгоритм и программу преобразования структурных VHDL    описаний схем………………………………………..…………….…………… ...11

 

5. Подсчет числа переключений с нулевыми и единичными задержками элементов схемы. ……………………………………………………………… …13

6. Оценка энергопотребления на основе логического моделирования с нулевыми и единичными задержками логических элементов…………………15

Заключение………………………………………………………………………....16

 

Приложения………………………………………………………………………..17

Список использованных источников…………………………………………….18

 
   
   
   
   
   
   


СОДЕРЖАНИЕ

 

 

 

 

 

 

 

 

 

 

Введение

 

Одной из важнейших проблем при проектировании цифровых устройств является снижение их энергопотребления, а одним из основных критериев оптимизации при проектировании цифровых устройств – величина потребляемой энергии. Проблема снижения энергопотребления интегральных микросхем, на которых реализуется цифровое устройство, решается практически на всех этапах проектирования: от алгоритмического до топологического. Для снижения потребляемой мощности выбирают соответствующую синхронизацию, применяют асинхронные схемы, создают специальные библиотеки логических элементов, предлагают новые конструктивные и схемотехнические решения и т.д. При выборе проектных решений по схемной реализации цифровых блоков заказных СБИС существует необходимость оценки их энергопотребления на различных этапах проектирования.

В настоящей работе рассматривается проблема оценки энергопотребления различных вариантов схемных решений, возникающих на этапе логического проектирования в процессе оптимизационных преобразований логических схем – функциональных блоков СБИС, создаваемых из элементов библиотеки проектирования заказных СБИС, изготовленных по КМОП-технологии. Отличительной особенностью структуры КМОП по сравнению с другими МОП-структурами (N-МОП, P-МОП) является наличие как n-, так и p-канальных полевых транзисторов, что обусловливает их высокое быстродействие и меньшее энергопотребление, однако КМОП-схемы характеризуются более сложным технологическим процессом изготовления. Тем не менее, подавляющее большинство современных логических микросхем используют технологию КМОП.

Предлагается оценивать энергопотребление логических КМОП-схем путем подсчета числа переключающихся транзисторов логических элементов схемы, а для этой цели использовать быстродействующее логическое моделирование. Результаты экспериментов показывают, что при таком подходе достигается весьма значительное сокращение времени моделирования, ошибка прогноза энергопотребления схемы составляет примерно 10% по сравнению со схемотехническим моделированием, что вполне удовлетворяет требованиям оценки проекта по критерию энергопотребления на этапе логического синтеза схемы.

 

 

 

 

 

 

 

 

 

 

 

  1. СТРУКТУРА ПРЕДПРИЯТИЯ

 

Структурные подразделения университета: Институт повышения квалификации и переподготовки руководящих работников и специалистов по информационным технологиям и радиоэлектронике (Институт информационных технологий); научно-исследовательская часть; учебно-методическое управление; управление подготовки научных кадров высшей квалификации; управление воспитательной работы с молодежью; управление международного сотрудничества; центр информатизации и инновационных разработок; библиотека; студенческий городок; бухгалтерия; отдел кадров; отдел документационного обеспечения; юридический отдел; режимно-секретный отдел; отдел охраны труда; сектор гражданской обороны и чрезвычайных ситуаций; службы экономики, капитального строительства и материально-технического снабжения; службы эксплуатации и ремонта зданий; спортивно-оздоровительный центр; ОСП «Комбинат питания»; ОСП «Молодежный центр», бизнес-инкубатор. 

В университете функционируют: профсоюзный комитет сотрудников; студенческий профсоюзный комитет; Совет ветеранов; первичная организация с правами районного комитета Белорусского республиканского союза молодежи; первичная организация РОО «Белая Русь»; международное общественное объединение выпускников и попечителей МРТИ-БГУИР. 

БГУИР сегодня - это крупный учебно-научно-инновационный комплекс, в структуру которого входят 10 факультетов: компьютерного проектирования (ФКП), информационных технологий и управления (ФИТУ), радиотехники и электроники (ФРЭ), компьютерных систем и сетей (ФКСиС), телекоммуникаций (ФТК), инженерно-экономический (ИЭФ), военный факультет (ВФ), факультет заочного обучения (ФЗО), факультет непрерывного и дистанционного обучения (ФНиДО), факультет доуниверситетской подготовки и профессиональной ориентации (ФДППО). 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2.Изучение основных разновидностей конструкторской и инженерно-технической документаций

 

В ходе проведения преддипломной практики был проведен обзор

литературы касательно нормативных документов регламентирующих общие технические условия

Главной задачей процесса проектирования изделий является

Обеспечение перевода требований ТЗ в требования к материалам, элементам

конструкции изделий, включая:

− обеспечение перевода требований ТЗ в схемотехническую и

топологическую реализацию кристалла;

− выработку требований к элементам конструкции в целом и к

используемым в конструкции материалам;

− обеспечение перевода требований ТЗ в требования к технологии

изготовления изделий;

− выработку требований к полноте контроля в процессе разработки

конструкции, изготовления и испытаний опытных образцов изделия;

− обеспечение гарантий соответствия изделия установленным

требованиям и методы подтверждения.

Виды и содержание работ по проектированию конструкции изделий, в

том числе для изделий категории качества«ВП», разрабатываемых в

соответствии с ГОСТРВ 15.205 [4], ОСТВ 11 0998 [5], ОСТ 11 0999 [6],

ОСТВ 11 1010 [7], ОСТВ 11 336.018 [11], СТБВ 15.202, определяются

Разработчиком с учетом исходных требований ТЗ.

Для изделий категории качества «ВП» требования к обеспечению

качества в процессе проектирования и его контроль должны осуществляться в

соответствии с РДВ 319.015 [2], ОСТВ 11 0998 [5], ОСТ 11 0999 [6],

ОСТВ 11 336.018 [11].

В ходе проектирования изделий используются базовые библиотеки

проектирования для базовых технологических процессов или Designkit,

предоставленный провайдером фаундри-заказа по изготовлению кристалла. В

случае необходимости применения элементов и/или технологии,

отсутствующих в базовых библиотеках, проводится разработка технологии и

недостающих компонентов по СТОИ4.117.

При проектировании конструкции технологии изделия разработчиком

должны применяться САПР.

Разработке конструкции и технологии изделия предшествует анализ

требований потребителя и действующей технической документации, образца-

аналога и спецификации на образец-аналог по СТОИ4.97 или формирование

проекта при отсутствии образца-аналога по СТОИ4.115, разработка ТЗ

на изделие по СТОИ4.116. Проектирование изделия начинается с анализа

основных характеристик, особенностей на значения и применения. Процедура

проектирования заканчивается разработкой СхЭ и топологии, комплектов КД,

С целью соблюдения Закона Республики Беларусь «О правовой охране

топологий интегральных микросхем» [12], а также обеспечения

конкурентоспособности поставляемых изделий, разрабатываемые топологии

должны быть оригинальными.

СТО И4.95-20147

Для проектирования изделия распоряжением начальника отдела-

разработчика закрепляется не менее двух разработчиков – схемотехников либо

два разработчика – схемотехника на два проектируемых изделия

одновременно.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3. рАЗРАБОТАТЬ vhdlМОДЕЛИ ЛОГИЧЕСКИХ ЭЛЕМЕНТОВ, ПРЕДНАЗНАЧЕННЫЕ ДЛЯ ОЦЕНКИ ЭНЕРГОПОТРЕБЛЕНИЯ НА ОСНОВЕ ПЕРЕКЛЮЧАТЕЛЬНОЙ АКТИВНОСТИ

 

Для элементов, выполненных по КМОП-технологии, рассматривают динамическую и статическую составляющие рассеиваемой мощности, основную долю которой составляет динамическая составляющая [1]. Мощность , потребляемую логическим КМОП-элементом, можно вычислить по формуле [2]

 

,       (1)

 

где – напряжение питания; – максимальная частота функционирования; – выходная емкость элемента; – активность переключения (среднее число изменения состояния выхода в течение одного цикла синхросигнала) элемента a. Все параметры в правой части (1) за исключением являются постоянными для КМОП-технологии [2], поэтому большую важность приобретает задача вычисления активности переключения логической схемы, состоящей из КМОП-элементов, основываясь на переключательных активностях логических элементов, составляющих схему.

Используемая библиотека проектирования комбинационных блоков (подсхем) заказных СБИС содержит 32 комбинационных логических элемента, функции которых представлены в базисе И, ИЛИ, НЕ (табл. 1), и шести триггеров. Символ «+» обозначает логическое ИЛИ, символ «*»– логическое И, через «^» обозначена логическая операция НЕ (отрицание).

Комбинационные элементы имеют от одного до шести входов и один выход. Каждому элементу библиотеки соответствует своя транзисторная схема. Например, транзисторные схемы логических элементов N и NA4 представлены на рис. 1.

Логические элементы, состоящие из композиции базовых элементов, будем называть сложными. Например, сложный логический элемент NA4, реализующий функцию Y=^(A*B*C*D). Элементы памяти – триггеры также представляются в виде сетей базовых комбинационных элементов. Очевидно, в таких сетях имеются обратные связи, в отличие от комбинационных логических сетей (схем), не имеющих обратных связей.

После анализа транзисторных схем комбинационных логических элементов библиотеки было установлено, что в них каждый вход КМОП-элемента соединен с парой транзисторов: n-МОП-транзистором и p-МОП-транзистором. Транзисторы соединены так, что при изменении сигнала на каждом из входов (0→1, 1→0) один из них открывается, другой – закрывается. Число переключающихся транзисторов при изменении сигнала на одном из входов элемента библиотеки зависит от его электрической принципиальной схемы. Анализ схем КМОП-элементов библиотеки показал, что элементы библиотеки могут быть разделены на пять групп (табл. 1) по числу =2, 4, 6, 8, 10 переключающихся транзисторов при изменении сигнала на одном входе. Число назовем параметром элемента.

Совокупность значений сигналов на n входных полюсах элемента назовем входным набором элемента. Входной набор удобно представлять двоичным вектором, каждый разряд которого соответствует одному входному полюсу. Мощность, потребляемая комбинационным логическим КМОП-элементом в одном такте функционирования логической схемы, пропорциональна числу входов элемента, на которых происходит изменение сигнала при смене одного входного набора другим.

Предлагается оценивать энергопотребление комбинационного элемента значением его переключательной активности, под которой будем понимать число переключающихся транзисторов.

Переключательной активностью элемента при смене входного набора i на входной набор j назовем число входных полюсов элемента (на которых изменяется значение сигнала), умноженное на параметр элемента. Значение для n-входового логического элемента может быть вычислено по формуле

 

= ·weight(Inpi Å Inpj),                                                 (2)

где – параметр элемента (табл. 1); Inpi, Inpj – n-компонентные булевы векторы, представляющие i-й и j-входные наборы; weight(а) – число единиц в векторе а, символ Å обозначает операцию поразрядного сложения по модулю 2 двух векторов.

Например, для трехвходового логического элемента NAO (табл. 1) при смене набора i=(010) набором j=(111) переключательная активность составит =2·weight((010) Å (111))=2·2=4, т.е. произойдет переключение четырех транзисторов.

 

 

 

 

 

 

 

 

 

 

 

vhdlМОДЕЛИ ЛОГИЧЕСКИХ ЭЛЕМЕНТОВ:

 

 

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use IEEE.NUMERIC_STD.all;

 

entity N is

generic (RRR : real);

port (A:IN std_logic;

Y:OUT std_logic;

Z : out real);

end;

 

architecture BEHAVIOR of N is

begin

 

Y <= not A after 160 ps;

 

p1:  process (A)

variable z1 : real :=0.0;

begin 

if ((A xor A'delayed (160 ps)) = '1') then Z1:= Z1+ (2.0 * RRR);

end if;

Z <= z1;

end process;

 

 

end;

 

 

 

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use IEEE.NUMERIC_STD.all;

 

entity NA4 is

generic (RRR : real);

port (A:IN std_logic;

B:IN std_logic;

C:IN std_logic;

D:IN std_logic;

Y:OUT std_logic;

Z : out real);

end;

 

architecture BEHAVIOR of NA4 is

begin

 

Y <= not (A and B and C and D) after 770 ps;

 

p1:  process (A, B, C, D)

variable z1 : real :=0.0;

begin 

if ((A xor A'delayed (770 ps)) = '1') then Z1:= Z1+ (2.0 * RRR);

end if;

if ((B xor B'delayed (770 ps)) = '1') then Z1:= Z1+ (2.0 * RRR);

end if;

if ((C xor C'delayed (770 ps)) = '1') then Z1:= Z1+ (2.0 * RRR);

end if;

if ((D xor D'delayed (770 ps)) = '1') then Z1:= Z1+ (2.0 * RRR);

end if; 

 

Z <= z1;

end process;

 

end;

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

4. рАЗРАБОТАТЬ алгоритм и программу преобразования структурных vhdl описаний схем в описания, пригодные для оценки энергопотребления.

Информация о работе Оценка энергопотребле-ния логических схем с использованием их VHDL моделей