Счетчики

Автор работы: Пользователь скрыл имя, 16 Сентября 2011 в 17:19, реферат

Описание работы

С развитием электроники появился такой класс электронной техники, как цифровая. Эта техника предназначена для формирования, обработки и передачи электрических импульсных сигналов и перепадов напряжения и тока, а также для управления информацией и её хранения. Цифровые устройства занимают доминирующее место во многих областях науки и техники, что обусловлено существенно меньшим потреблением энергии от источника питания, более высокой точностью, меньшей критичностью к изменениям внешних условий, большей помехоустойчивостью. Цифровая техника включает в себя такие устройства как триггеры, регистры, счётчики, комбинационные устройства, программируемые логические интегральные схемы и др.
В работе было разработана счетчик до 30, состоящий из двух частей, одна из которых десятичный счетчик. Реализация устройства производилась с помощью среды разработки Electronics Workbench версии 5.12.

Содержание работы

Введение………………………………………………………...…………..…..3

Принцип действия………………………………………………...…………...4
Классификация счётчиков………………………………………………..….8
Последовательные счётчики……………………………………….…….….10
Параллельные счётчики…………………………………………………..…16
Счетчики с параллельным переносом……………………………...……...17
Разработка принципиальной схемы…………………………...…………..18
Составление структурной схемы счётчика……………………………….19

Составные элементы устройства…………………………………..….……22

Расчетная часть ………………………………………………………….…..23

Вывод………………………………………………………………..…….……40

Техника безопасности…………………………………………………...……41

Список литературы……………………………………………………….…..43

Файлы: 1 файл

Счетчики.doc

— 1.25 Мб (Скачать файл)
 

     Содержание

      Введение………………………………………………………...…………..…..3

      Принцип действия………………………………………………...…………...4

      Классификация счётчиков………………………………………………..….8

      Последовательные счётчики……………………………………….…….….10

      Параллельные счётчики…………………………………………………..…16

      Счетчики  с параллельным переносом……………………………...……...17

      Разработка  принципиальной схемы…………………………...…………..18

      Составление структурной схемы  счётчика……………………………….19

      Составные элементы устройства…………………………………..….……22

      Расчетная часть  ………………………………………………………….…..23

      Вывод………………………………………………………………..…….……40

      Техника безопасности…………………………………………………...……41

      Список  литературы……………………………………………………….…..43 
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       

      Введение

              С развитием электроники появился такой класс электронной техники, как цифровая. Эта техника предназначена для формирования, обработки и передачи электрических импульсных сигналов и перепадов напряжения и тока, а также для управления информацией и её хранения. Цифровые устройства занимают доминирующее место во многих областях науки и техники, что обусловлено существенно меньшим потреблением энергии от источника питания, более высокой точностью, меньшей критичностью к изменениям внешних условий, большей помехоустойчивостью. Цифровая техника включает в себя такие устройства как триггеры, регистры, счётчики, комбинационные устройства, программируемые логические интегральные схемы и др.

             В работе было разработана счетчик до 30, состоящий из двух частей, одна из которых десятичный счетчик. Реализация устройства производилась с помощью среды разработки Electronics Workbench версии 5.12. 
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       

     

     

     Принцип действия

          Цифровой  счетчик импульсов - это цифровой узел, который осуществляет счет поступающих на его вход импульсов. Результат счета формируется счетчиком в заданном коде и может храниться требуемое время. Счетчики строятся на триггерах, при этом количество импульсов, которое может подсчитать счетчик определяется из выражения N = 2n - 1, где n - число триггеров, а минус один, потому что в цифровой технике за начало отсчета принимается 0. Счетчики бывают суммирующие, когда счет идет на увеличение, и вычитающие - счет на уменьшение. Если счетчик может переключаться в процессе работы с суммирования на вычитание и наоборот, то он называется реверсивным.

          В качестве исходного состояния принят нулевой уровень на всех выходах триггеров (Q1 - Q3), т. е. цифровой код 000. При этом старшим разрядом является выход Q3. Для перевода всех триггеров в нулевое состояние входы R триггеров объединены и на них подается необходимый уровень напряжения (т. е. импульс, обнуляющий триггеры). По сути это сброс. На вход С поступают тактовые импульсы, которые увеличивают цифровой код на единицу, т. е. после прихода первого импульса первый триггер переключается в состояние 1 (код 001), после прихода второго импульса второй триггер переключается в состояние 1, а первый - в состояние 0 (код 010), потом третий и т. д. В результате подобное устройство может досчитать до 7 (код 111), поскольку 23 - 1 = 7.  
       

 

     

          Когда на всех выходах триггеров установились единицы, говорят, что счетчик переполнен. После прихода следующего (девятого) импульса счетчик обнулится и начнется все с начала.

            На графиках изменение состояний  триггеров происходит с некоторой  задержкой tз. На третьем разряде задержка уже утроенная. Увеличивающаяся с увеличением числа разрядов задержка является недостатком счетчиков с последовательным переносом, что, несмотря на простоту, ограничивает их применение в устройствах с небольшим числом разрядов.

          Счетчиком  называют   устройство,  предназначенное   для  подсчёта  числа импульсов  поданных   на   вход.  Они,   как  и сдвигающие   регистры,   состоят  из цепочки  триггеров.  Разрядность  счетчика,  а  следовательно,  и число  триггеров  определяется    максимальным   числом,  до  которого он считает.   Количество  импульсов, которое может   подсчитать  счетчик   определяется   из  выражения   N = 2n - 1,  где n -  число триггеров, а минус один, потому что в цифровой технике за начало отсчета принимается 0. 

       

       Рисунок 1 - Микросхема К155ИЕ5 (счетчик до 16) 

            Микросхема К155ИЕ5 рисунок 1 содержит счетный триггер (вход С1) и делитель на восемь (вход С2) образованный тремя соединенными последовательно триггерами. Триггеры срабатывают по срезу входного импульса (по переходу из 1 в 0). Если соединить последовательно все четыре триггера как на рисунке 1, т получится счетчик по модулю 24=16.  

 

     

       Максимальное  хранимое число при полном заполнении его единицами равно N=24-1=15=111 в двоичной системе. Такой счетчик работает с коэффициентом счета К (модулем), кратным целой степени 2, и в нем совершается циклический перебор К=2n устойчивых состояний. Счетчик имеет выходы принудительной установки в 0.

          Часто нужны счетчики с числом устойчивых состояний, отличным от 2n .Например, о электронных часах есть микросхемы с коэффициентом счета 6 (десятки минут). 10 (единицы минут). 7 (дни недели). 24 (часы).

          Для построения счётчика с модулем К≠2n можно использовать устройство из n триггеров для которого выполняется условие 2n >К. Очевидно, такой счётчик может иметь лишние устойчивые состояния (2n-К). Исключить эти ненужные состояния можно использованием обратных связей, по цепям которых счетчик переключается в нулевое состояние в том такте работы когда он досчитывает до числа К.

          Для счетчика с К=10 нужны четыре триггера (так как 23 <10<24) должен иметь десять устойчивых состояний N==0,1...,8,9. В том такте, когда он должен был перейти в одиннадцатое устойчивое состояние (N=10), его необходимо сбросить в исходное нулевое состояние. Для такого счётчика можно использовать микросхему К155ИЕ5 рисунок 2, введя цепи обратной связи с выходов счетчика, соответствующих числу 10 (т. е. 2 и 8) на входы установки счетчика в 0 (вход R). В самом начале 11-го состояния (число 10) на обоих входах элемента И микросхемы появляются логические 1, вырабатывающие сигнал сброс всех триггеров счетчика в нулевое состояние.

       

       Рисунок 2 - Микросхема К155ИЕ5 (счетчик до 10)

 

     

              Счетчик до 30 выполнен на 6 JK триггерах с сигналом сброса (4 JK триггера для счета до десяти и 2 JK триггера для счета до трех).

          Для счетчика до десяти нужны четыре триггера (так как 23<10<24), счетчик должен иметь десять устойчивых состояний N==0,1...,8,9, а для счетчика до трех нужны два триггера (так как 21<3<22), счетчик должен иметь три устойчивых состояний N==0,1,2.

          Как только значение на выходе Q2 и Q4 триггера будет равно «1», произойдет сброс счетчика (это значение в десятичной системе равно 10 или 0101 в двоичной системе слева на право), а эта единица (сигнал сброса) передастся на второй счетчик.

          Как только значение на втором счетчике на выходе Q11 и Q22 триггера будет равно «1», произойдет сброс второго счетчика (это значение в десятичной системе равно 3 или 11 в двоичной системе счисления).

          Классификация счётчиков

 

          Счетчиками  называют устройства для подсчёта числа поступивших на их вход импульсов (команд), запоминания и хранения результата счёта и выдачи этого результата. Основным параметром счётчика является модуль счёта(емкость) Kс. Эта величина равна числу устойчивых состояний счётчика. После поступления импульсов Kс счётчик возвращается в исходное состояние. Для двоичных счётчиков Kс = 2 m, где m – число разрядов счётчика.

          Кроме Kс важными характеристиками счётчика являются максимальная частота счёта fmax и время установления tуст, которые характеризуют быстродействие счётчика.

          Tуст  – длительность переходного процесса  переключения счётчика в новое  состояние: tуст = mtтр, где m – число  разрядов, а tтр – время переключения  триггера.

          Fmax – максимальная частота входных  импульсов, при которой не происходит потери импульсов.

          По  типу функционирования:

          - Суммирующие;

          - Вычитающие;

          - Реверсивные.

          В суммирующем счётчике приход каждого  входного импульса увеличивает результат  счёта на единицу, в вычитающем –  уменьшает на единицу; в реверсивных  счётчиках может происходить как суммирование, так и вычитание.

          

          По  структурной организации:

          - последовательными; 

          - параллельными; 

          - последовательно-параллельными. 

    

          

          В последовательном счётчике входной  импульс подаётся только на вход первого  разряда, на входы каждого последующего разряда подаётся выходной импульс предшествующего ему разряда.

          В параллельном счётчике с приходом очередного счётного импульса переключение триггеров  при переходе в новое состояние  происходит одновременно.

          Последовательно-параллельная схема включает в себя оба предыдущих варианта.

          По  порядку изменения состояний:

          - с естественным порядком счёта;

          - с произвольным порядком счёта.

          По  модулю счёта:

          - двоичные;

          - недвоичные.

          Модуль  счёта двоичного счётчика Kc=2, а модуль счёта недвоичного счётчика Kc= 2m, где m – число разрядов счётчика.

      

     Последовательные счётчики

 

     

     Рис.1. Суммирующий последовательный 3х  разрядный счётчик.

    

          

          Триггеры  данного счетчика срабатывают по заднему фронту счетного импульса. Вход старшего разряда счетчика связан с прямым выходом (Q) младшего соседнего разряда. Временная диаграмма работы такого счетчика приведена на рис.2. В начальный момент времени состояния всех триггеров равны лог.0, соответственно на их прямых выходах лог.0. Это достигается посредством кратковременного лог.0, поданного на входы асинхронной установки триггеров в лог.0.

          Общее состояние счетчика можно охарактеризовать двоичным числом (000). Во время счёта  на входах асинхронной установки  триггеров в лог.1 поддерживается лог.1. После прихода заднего фронта первого импульса 0-разряд переключается в противоположное состояние – лог.1. На входе 1-разряда появляется передний фронт счетного импульса. Состояние счетчика (001). После прихода на вход счетчика заднего фронта второго импульса 0-разряд переключается в противоположное состояние – лог.0, на входе 1-разряда появляется задний фронт счетного импульса, который переключает 1-разряд в лог.1. Общее состояние счетчика – (010). Следующий задний фронт на входе 0-разряда установит его в лог.1 (011) и т.д. Таким образом, счетчик накапливает число входных импульсов, поступающих на его вход. При поступлении 8-ми импульсов на его вход счетчик возвращается в исходное состояние (000), значит коэффициент счета (КСЧ) данного счетчика равен 8.

Информация о работе Счетчики