Оценка энергопотребле-ния логических схем с использованием их VHDL моделей

Автор работы: Пользователь скрыл имя, 16 Марта 2016 в 13:39, курсовая работа

Описание работы

Одной из важнейших проблем при проектировании цифровых устройств является снижение их энергопотребления, а одним из основных критериев оптимизации при проектировании цифровых устройств – величина потребляемой энергии. Проблема снижения энергопотребления интегральных микросхем, на которых реализуется цифровое устройство, решается практически на всех этапах проектирования: от алгоритмического до топологического. Для снижения потребляемой мощности выбирают соответствующую синхронизацию, применяют асинхронные схемы, создают специальные библиотеки логических элементов, предлагают новые конструктивные и схемотехнические решения и т.д. При выборе проектных решений по схемной реализации цифровых блоков заказных СБИС существует необходимость оценки их энергопотребления на различных этапах проектирования.

Содержание работы

Введение…………………………………………………………………………….3

1. Структура предприятия…………….……………………………………………4

2. Изучение основных разновидностей конструкторской и инженерно-технической документаций……………….……………………………………….5

3. Разработать VHDL модель логических элементов…………….……………...7

4. Разработать алгоритм и программу преобразования структурных VHDL описаний схем………………………………………..…………….…………… ...11

5. Подсчет числа переключений с нулевыми и единичными задержками элементов схемы. ……………………………………………………………… …13
6. Оценка энергопотребления на основе логического моделирования с нулевыми и единичными задержками логических элементов…………………15
Заключение………………………………………………………………………....16

Приложения………………………………………………………………………..17
Список использованных источников…………………………………………….18