Описание и моделирование триггеров и конечных автоматов

Автор работы: Пользователь скрыл имя, 18 Января 2015 в 21:12, лабораторная работа

Описание работы

Задание:
Часть 1. С использованием девятизначного алфавита STD_LOGIC составить VHDL-модель и провести моделирование триггера двумя способами:
по логической схеме триггера (структурное описание);
по таблице функционирования триггера (алгоритмическое описание).